TY - CHAP AU - Bhattacharya, P. AU - Gavrilova, M. L. PY - 2007 DA - 2007// TI - Proceedings of the 4th International Symposium on Voronoi Diagrams in Science and Engineering BT - Voronoi diagram in optimal path planning PB - IEEE Computer Society CY - Pontypridd, Wales, UK ID - Bhattacharya2007 ER - TY - CHAP AU - Brooks, D. AU - Tiwari, V. AU - Martonosi, M. PY - 2000 DA - 2000// TI - Proceedings of the 27th International Symposium on Computer Architecture BT - Wattch: A Framework for Architectural-Level Power Analysis and Optimizations PB - IEEE Computer Society CY - Vancouver, BC, Canada ID - Brooks2000 ER - TY - JOUR AU - Brooks, D. AU - Dick, R. P. AU - Joseph, R. AU - Shang, L. PY - 2007 DA - 2007// TI - Power, thermal, and reliability modelling in nanometer-scale microprocessors JO - IEEE Micro VL - 27 UR - https://doi.org/10.1109/MM.2007.58 DO - 10.1109/MM.2007.58 ID - Brooks2007 ER - TY - JOUR AU - Burger, D. C. AU - Austin, T. M. PY - 1997 DA - 1997// TI - The simple scalar tool set, version 2.0 JO - ACM SIGARCH Comput Arch News VL - 25 UR - https://doi.org/10.1145/268806.268810 DO - 10.1145/268806.268810 ID - Burger1997 ER - TY - CHAP AU - Cochran, R. AU - Reda, S. PY - 2009 DA - 2009// TI - Proceedings of the 46th Annual Design Automation Conference BT - Spectral Techniques for High-Resolution Thermal Characterization with Limited Sensor Data PB - ACM CY - San Francisco, California, USA ID - Cochran2009 ER - TY - CHAP AU - Coskun, A. K. AU - Rosing, T. S. AU - Gross, K. C. PY - 2008 DA - 2008// TI - Proceedings of the International Symposium on Low Power Electronics and Design BT - Proactive Temperature Management in MPSoCs PB - ACM CY - Bangalore, India ID - Coskun2008 ER - TY - CHAP AU - Hanson, H. AU - Keckler, S. W. AU - Ghiasi, S. AU - Rajamani, K. AU - Rawson, F. AU - Rubio, J. PY - 2007 DA - 2007// TI - Proceedings of the 2007 International Symposium on Low Power Electronics and Design BT - Thermal Response to DVFS: Analysis with an Intel Pentium M PB - ACM CY - Portland, Oregon, USA ID - Hanson2007 ER - TY - JOUR AU - Henning, J. PY - 2000 DA - 2000// TI - SPEC CPU2000: Measuring CPU performance in the new millennium JO - IEEE Comput VL - 33 UR - https://doi.org/10.1109/2.869367 DO - 10.1109/2.869367 ID - Henning2000 ER - TY - JOUR AU - Huang, W. AU - Ghosh, S. AU - Velusamy, S. AU - Sankaranarayanan, K. AU - Skadron, K. AU - Stan, M. R. PY - 2006 DA - 2006// TI - HotSpot: A compact thermal modelling methodology for early-stage VLSI design JO - IEEE Trans VLSI Syst VL - 14 UR - https://doi.org/10.1109/TVLSI.2006.876103 DO - 10.1109/TVLSI.2006.876103 ID - Huang2006 ER - TY - CHAP AU - Jayaseelan, R. AU - Mitra, T. PY - 2009 DA - 2009// TI - Proceedings of the 46th Annual Design Automation Conference BT - Dynamic Thermal Management via Architectural Adaptation PB - ACM CY - San Francisco, California, USA ID - Jayaseelan2009 ER - TY - JOUR AU - Jiao, L. M. AU - Liu, Y. L. AU - Zou, B. PY - 2011 DA - 2011// TI - Self-organizing dual clustering considering spatial analysis and hybrid distance measures JO - Sci China Earth Sci VL - 54 UR - https://doi.org/10.1007/s11430-011-4222-1 DO - 10.1007/s11430-011-4222-1 ID - Jiao2011 ER - TY - JOUR AU - Kessler, R. E. PY - 1999 DA - 1999// TI - The Alpha 21264 microprocessor JO - IEEE Micro VL - 19 UR - https://doi.org/10.1109/40.755465 DO - 10.1109/40.755465 ID - Kessler1999 ER - TY - JOUR AU - Li, X. AU - Rong, M. AU - Liu, T. AU - Zhou, L. PY - 2011 DA - 2011// TI - Inverse distance weighting method based on a Dynamic Voronoi Diagram for thermal reconstruction with limited sensor data on multiprocessors JO - IEICE Trans Electron VL - E94-C UR - https://doi.org/10.1587/transele.E94.C.1295 DO - 10.1587/transele.E94.C.1295 ID - Li2011 ER - TY - JOUR AU - Liao, W. AU - He, L. AU - Lepak, K. M. PY - 2005 DA - 2005// TI - Temperature and supply voltage aware performance and power modelling at microarchitecture level JO - IEEE Trans Comput-Aided Design of Integr Circuits and Syst VL - 24 UR - https://doi.org/10.1109/TCAD.2005.850860 DO - 10.1109/TCAD.2005.850860 ID - Liao2005 ER - TY - JOUR AU - Lin, S. C. AU - Banerjee, K. PY - 2008 DA - 2008// TI - Cool chips: opportunities and implications for power and thermal management JO - IEEE Trans Electron Devices VL - 55 UR - https://doi.org/10.1109/TED.2007.911763 DO - 10.1109/TED.2007.911763 ID - Lin2008 ER - TY - JOUR AU - Lin, C. R. AU - Liu, K. H. AU - Chen, M. S. PY - 2005 DA - 2005// TI - Dual clustering: integrating data clustering over optimization and constraint domains JO - IEEE Trans Knowl Data Eng VL - 17 UR - https://doi.org/10.1109/TKDE.2005.75 DO - 10.1109/TKDE.2005.75 ID - Lin2005 ER - TY - JOUR AU - Lin, S. C. AU - Chrysler, G. AU - Mahajan, R. AU - De, V. K. AU - Banerjee, K. PY - 2007 DA - 2007// TI - A self-consistent substrate thermal profile estimation technique for nanoscale ICs-Part II: Implementation and implications for power estimation and thermal management JO - IEEE Trans Electron Devices VL - 54 UR - https://doi.org/10.1109/TED.2007.909038 DO - 10.1109/TED.2007.909038 ID - Lin2007 ER - TY - JOUR AU - Long, J. AU - Memik, S. O. AU - Memik, G. AU - Mukherjee, R. PY - 2008 DA - 2008// TI - Thermal monitoring mechanisms for chip multiprocessors JO - ACM Trans Arch Code Optimization VL - 2 ID - Long2008 ER - TY - JOUR AU - Memik, S. O. AU - Mukherjee, R. AU - Ni, M. AU - Long, J. PY - 2008 DA - 2008// TI - Optimizing thermal sensor allocation for microprocessors JO - IEEE Trans Comput-Aided Design Integr Circuits VL - 27 UR - https://doi.org/10.1109/TCAD.2008.915538 DO - 10.1109/TCAD.2008.915538 ID - Memik2008 ER - TY - CHAP AU - Mukherjee, R. AU - Memik, S. O. PY - 2006 DA - 2006// TI - Proceedings of the 43rd annual Design Automation Conference BT - Systematic Temperature Sensor Allocation and Placement for Microprocessors PB - ACM CY - San Francisco, California, USA ID - Mukherjee2006 ER - TY - CHAP AU - Nowroz, A. N. AU - Cochran, R. AU - Reda, S. PY - 2010 DA - 2010// TI - Proceedings of the 47th Design Automation Conference BT - Thermal Monitoring of Real Processors: Techniques for Sensor Allocation and Full Characterization PB - ACM CY - Anaheim, California, USA ID - Nowroz2010 ER - TY - JOUR AU - Reda, S. AU - Cochran, R. AU - Nowroz, A. N. PY - 2011 DA - 2011// TI - Improved thermal tracking for processors using hard and soft sensor allocation techniques JO - IEEE Trans Comput VL - 60 UR - https://doi.org/10.1109/TC.2011.45 DO - 10.1109/TC.2011.45 ID - Reda2011 ER - TY - JOUR AU - Shauly, E. N. PY - 2012 DA - 2012// TI - CMOS leakage and power reduction in transistors and circuits: process and layout considerations JO - J Low Power Electron Appl VL - 2 UR - https://doi.org/10.3390/jlpea2010001 DO - 10.3390/jlpea2010001 ID - Shauly2012 ER - TY - CHAP AU - Wang, W. PY - 2009 DA - 2009// TI - Proceedings of the 2009 International Joint Conference on Artificial Intelligence BT - Reach on Sobel Operator for Vehicle Recognition PB - IEEE Computer Society CY - Hainan Island ID - Wang2009 ER - TY - JOUR AU - Wilton, S. AU - Jouppi, N. P. PY - 1996 DA - 1996// TI - CACTI: an enhanced Cache Access and Cycle Time Model JO - IEEE J Solid State Circuits VL - 31 UR - https://doi.org/10.1109/4.509850 DO - 10.1109/4.509850 ID - Wilton1996 ER - TY - CHAP AU - Zhang, Y. AU - Srivastava, A. PY - 2009 DA - 2009// TI - Proceedings of the 46th Annual Design Automation Conference BT - Accurate Temperature Estimation Using Noisy Thermal Sensors PB - ACM CY - San Francisco, California, USA ID - Zhang2009 ER - TY - JOUR AU - Zhang, Y. AU - Srivastava, A. PY - 2011 DA - 2011// TI - Accurate temperature estimation using noisy thermal sensors for Gaussian and non-Gaussian cases JO - IEEE Trans Very large Scale Integr Syst VL - 19 UR - https://doi.org/10.1109/TVLSI.2010.2051567 DO - 10.1109/TVLSI.2010.2051567 ID - Zhang2011 ER -